International E-publication: Publish Projects, Dissertation, Theses, Books, Souvenir, Conference Proceeding with ISBN.  International E-Bulletin: Information/News regarding: Academics and Research

An Overview of through-Silicon via - based Three Dimensional integrated Circuits (3D IC) to placement to Optimize timing

Author Affiliations

  • 1Young Research Club, Sardasht Branch, Sardasht Islamic Azad University, Sardasht, IRAN

Res. J. Recent Sci., Volume 3, Issue (6), Pages 96-104, June,2 (2014)

Abstract

Semiconductor technology continues its progress in the field of 3DICs. Using stack structures through silicon via (TSV), the concept of 3D IC deals with introducing another dimension in recent designs. In fact, 3D ICs accompanying with TSV cells replace the existent connections in 2D ICs. Optimizing 3D ICs; however, is still in its early stages in many aspects. There are still some problems in locating standard and TSV cells regarding time optimization. In the present study, after queuing the layer and based on its segmentation, first we proposed a methodology for locating cells. Then, we dealt with simultaneous addressing of the pressure caused by the queuing process. Simulated fusion was applied to optimize timing and reduce wire length. Finally, an appropriate method is used to prove the procedures so that it can omit the overlaps between the cells and also the TSV cells. The results of the conducted experiments showed that both wavelength and delay in critical routes are more important in 3D ICs compared to 2D ICs.

References

  1. Hentschke R., Flach G., Pinto F. and Reis R., Quadratic placement for 3d circuits using z-cell shifting, 3d iterative refinement and simulated annealing, in SBCCI ’06: Proceedings of the 19th annual symposiumon Integrated circuits and systems design. New York, NY, USA: ACM, 220–225 (2009)
  2. Deng Y. and Maly W.P., Interconnect characteristics of 2.5-d system integration scheme, in ISPD ’01: Proceedings of the 2001 international symposium on Physical design. New York, NY, USA: ACM, 171–175 (2010)
  3. Xie Y., Cong J. and Sapatnekar S., Eds., Three-Dimensional Integrated Circuit Design: EDA, Design and Microarchitectures, Springer Publishers (2009)
  4. Yu H., Shi Y., He L. and Karnik T., Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power, IEEE Transactions on VLSI Systems,6(12), 1609-1619 (2008)
  5. Patti R., Three-dimensional integrated circuits and the future of systemon- chip designs, Proceedings of the IEEE, 94(6), 1214–1224 (2006)
  6. Mohammad Aghaei and Mahdi Ebadati, Design Supply Chain Management Networks by New Risk Passive Defense Model and solved it by Heuristic Algorithm Case Study: Warehouse and Retail ETKA Organization, Research Journal of Recent Sciences, 2(9), 18-24 (2013)
  7. Cong J. and Luo G., A multilevel analytical placement for 3d ics,” in ASP-DAC ’09: Proceedings of the 2009 Asia and South Pacific Design Automation Conference. Piscataway, NJ, USA: IEEE Press, 361–366 (2009)
  8. Raman S., Liu C.L. and Jones L.G., Timing-constrained FPGA placement: A force-directed formulation and its performance evaluation, VLSI Design, 4(4), 345-355 (1996)
  9. Tong C.C. and Wu C.L., Routing in a three-dimensional chip, Computers, IEEE Transactions on, 44(1), 106 –117 (1995)
  10. Yang X., Choi B. and Sarrafzadeh M., Timing-driven placement usingdesign hierarchy guided constraint generation, In Proceedings ofIEEE/ACM International Conference on Computer Aided Design, 177-180 (2002)
  11. Sechen C. and Swartz W., Timing driven placement for large standard cell circuits, In Proceedings of ACM/IEEE Design Automation Conference, 211-215 (1995)
  12. Xiu Z. and Rutenbar R.A., Timing-driven placement by grid-warping, In Proceedings ACM/IEEE Design Automation Conference, 585-591 (2012)
  13. Maidee P., Ababei C. and Bazargan K., Timing-driven partitioning based placement for island style FPGAs, IEEE transaction on Computer-Aided Design of Integrated Circuits and Systems, 24(3), 395-406 (2005)
  14. Afshar-Nadjafi Behrouz, Najjarbashi Hojjat and Mehdizadeh Esmaeil, A branch-and-bound procedure for resource leveling in multi-mode resource constraint project scheduling problem, Research Journal of Recent Sciences1(7), 33-38 (2012)
  15. Sinha D., Shenoy N.V. and Zhou H., Statistical Gate Sizing for Timing Yield Optimization, In Proceedings of IEEE/ACM International Conference on Computer Aided Design, 1037-1041 (2005)
  16. Lee W.P., Liu H.Y. and Chang Y.W., Voltage island aware floorplanning for power and timing optimization, In Proceedings of IEEE/ACM International Conference on Computer-Aided Design, 389-394 (2006)
  17. Wu H., Wona M.D.R. and Liu I., Timing-constrained and voltageisland- aware voltage assignment, In Proceedings of ACM/IEEE Design Automation Conference, 429-432 (2006)
  18. Lee J.F. and Tang D.T., An algorithm for incremental timing analysis,”In Proceedings of ACM/IEEE Design Automation Conference, 696-701 (1995)
  19. Jyu H.F., Malik S., Devadas S. and Keutzer K.W., Statistical timing analysis of combinational logic circuits,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 1(2), 126-137 (2000)
  20. Yildiz M.C. and Madden P.H., Improved cut sequences for partitioning based placement, In Proceedings of ACM/IEEE Design Automation Conference, 776-779 (2001)
  21. Chen T.C., Hsu T.C., Jiang Z.W. and Chang Y.W., NTU place: A ratio partitioning based placement algorithm for large-scale mixed size designs, In Proceedings of International Symposium on Physical Design, 236-238(2005)
  22. Savidis L., Alam S.M., Jain A., Pozder S., Jones R.E. and Chatterjee R., Electrical modeling and characterization of through-silicon vias (TSVs) for 3-D integrated circuits, Microelectronics Journal, 41(1), 9-16 (2010)
  23. Cong J. and Luo G., Thermal-Aware 3D Placement, Integrated Circuits and Systems, 103-144 (2010)
  24. http://dropzone.tamu.edu/˜xiang/iscas.html (2013)
  25. Elmore W.C., The transient response of damped linear networks with particular regard to wideband amplifiers, Journal of Applied Physics, 19(1), 55-63 (2003)
  26. Ren H., Pan D.Z. and Kung D.S., Sensitivity guided net weighting for placement driven synthesis, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 24(5), 711- 721 (2005)
  27. Weldezion A.Y., Weerasekera R., Pamunuwa D. and Zheng L.R. and Tenhunen H., Bandwidth optimization for through silicon via (TSV) bundles in 3D integrated circuits, In Proceedings Design, Automation and Test in Europe Conference, (2009)
  28. Grange M., Weerasekera R., Pamunuwa D., Tenhunen H. and Zheng L.R., Closed-form equations for through-silicon via(TSV) parasitics in 3-D integrated circuits, In Proceedings Design, Automation and Testin Europe Conference(2009)
  29. Hentschke R. and Reis R., A 3D-Via Legalization Algorithm for 3D VLSI Circuits and its Impact on Wire Length, In Proceedings of IEEE International Symposium on Circuits and Systems, 2036-2039 (2012)
  30. Spindler P., Schlichtmann U. and Johannes F.M., Abacus: Fast Legalization of Standard Cell Circuits with Minimal Movement, In Proceedings of International Symposium on Physical Design, 47-53 (2011)
  31. Ashok Kumar Rajput, Simulation of R-L-C Series and Parallel Resonance in Basic Electrical Engineering with LabVIEW, Research Journal of Recent,2(1), 45-49 (2013)
  32. Assogba Kokou M. and Vianou Antoine, Shape Characterization on Phase Microscopy Images using a Dispersion Indicator: Application to Amoeba Cells, Research Journal of Computer and Information Technology Sciences,1(5), 8-12 (2013)
  33. Attri Rajesh, Dev Nikhil and Sharma Vivek, Graph Theoretic approach (GTA) A Multi-Attribute Decision Making (MADM) Technique, Research Journal of Recent,2(1), 50-53 (2013)
  34. Khatkhate A., Li C., Agnihotri A.R., Yildiz M.C., Ono S., Koh C. and Madden P.H., Recursive bisection based mixed block placemen, In Proceedings of International Symposium on Physical Design, 84-89 (2004)
  35. Lin C.T., Kwai D.M., Fa Y., Chou T.S. Chen, and W.C. Wu, CAD Reference Flow for 3D Via-Last Integrated Circuits, In Proceedings of the Asia and South Pacific Design Automation Conference, 187-192, (2010)
  36. Marquardt A., Betz V. and Rose J., Timing-driven placement for FPGAs, In Proceedings of ACM/SIGDA, eighth International, 180-190 (2012)
  37. Kumar Sandeep, Current Research Trends in Electrical Discharge Machining: A Review, Research Journal of Recent Sciences, 2(2), 56-60 (2013)